Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("MOZUMDER, P. K")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 25

  • Page / 1
Export

Selection :

  • and

A statistical methodology as applied to a 256 Mbit DRAM pass transistor designMOZUMDER, P. K; CHATTERJEE, A.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 3, pp 437-446, issn 0894-6507Article

International Symposium on Semiconductor ManufacturingMOZUMDER, P. K; SAXENA, Sharad.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 477-535, issn 0894-6507Conference Proceedings

Simultaneous control of multiple measures of nonuniformity using site models and monitor wafer controlSAXENA, S; MOZUMDER, P. K; TAYLOR, K. J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 1, pp 128-135, issn 0894-6507Article

Method for semiconductor process optimization using functional representations of spatial variations and selectivityMOZUMDER, P. K; LOEWENSTEIN, L. M.IEEE transactions on components, hybrids, and manufacturing technology. 1992, Vol 15, Num 3, pp 311-316, issn 0148-6411Conference Paper

Statistical control of VLSI fabrication processessMOZUMDER, P. K; STROJWAS, A. J.IEEE transactions on components, hybrids, and manufacturing technology. 1991, Vol 14, Num 3, pp 467-475, issn 0148-6411Conference Paper

Statistical control of VLSI fabrication processesMOZUMDER, P. K; STROJWAS, A. J.Proceedings of the IEEE. 1990, Vol 78, Num 2, pp 436-455, issn 0018-9219, 20 p.Article

DOE/Opt: a system for design of experiments, response surface modeling, and optimization using process and device simulationBONING, D. S; MOZUMDER, P. K.IEEE transactions on semiconductor manufacturing. 1994, Vol 7, Num 2, pp 233-244, issn 0894-6507Article

A monitor wafer based controller for semiconductor processesMOZUMDER, P. K; SAXENA, S; COLLINS, D. J et al.IEEE transactions on semiconductor manufacturing. 1994, Vol 7, Num 3, pp 400-410, issn 0894-6507Article

Statistical feedback control of a plasma etch processMOZUMDER, P. K; BARNA, G. G.IEEE transactions on semiconductor manufacturing. 1994, Vol 7, Num 1, pp 1-11, issn 0894-6507Article

Rapid failure analysis using contamination-defect-fault (CDF) simulationKHARE, J; MALY, W.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 518-526, issn 0894-6507Conference Paper

Advanced process control of a CVD tungsten reactorSTEFANI, J. A; POARCH, S; SAXENA, S et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 3, pp 366-383, issn 0894-6507Article

Manufacturing cost of active-matrix liquid-crystal displays as a function of plant capacityJURICHICH, S; WOOD, S. C; SARASWAT, K. C et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 562-572, issn 0894-6507Conference Paper

Automatic synthesis of equipment recipes from specified wafer-state transitionsDAVIS, J. C; MOZUMDER, P. K; BURCH, R et al.IEEE transactions on semiconductor manufacturing. 1998, Vol 11, Num 4, pp 527-536, issn 0894-6507Conference Paper

An automated approach on electrical technology characterization and analysisPERELLO, C; LOZANO, M; MILLAN, J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 573-577, issn 0894-6507Conference Paper

Semiconductor wafer representation for TCADGILES, M. D; BONING, D. S; WANG, R. H et al.IEEE transactions on computer-aided design of integrated circuits and systems. 1994, Vol 13, Num 1, pp 82-95, issn 0278-0070Article

Analysis of mixed-signal manufacturability with statistical technology CAD (TCAD)HANSON, D. A; GOOSSENS, R. J. G; REDFORD, M et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 478-488, issn 0894-6507Conference Paper

Daily scheduling for R&D semiconductor fabricationLIAO, D.-Y; CHANG, S.-C; PEI, K.-W et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 551-561, issn 0894-6507Conference Paper

In-line defect sampling methodology in yield management : An integrated frameworkNURANI, R. K; AKELLA, R; STROJWAS, A. J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 506-517, issn 0894-6507Conference Paper

Micromasking of plasma etching due to bacteria : A yield detractor for ULSIPERERA, A. H; SATTERFIELD, M. J.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 577-580, issn 0894-6507Conference Paper

Monitoring multistage integrated circuit fabrication processesRAO, S; STROJWAS, A. J; LEHOCZKY, J. P et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 495-505, issn 0894-6507Conference Paper

Simple and quick turnaround time fabrication process for deep submicrometer CMOS generationKOIKE, H; MATSUOKA, F; OHTSUKA, H et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 489-494, issn 0894-6507Conference Paper

Planning wafer allocation for CMOS process development: A nonparametric approachRAO, S; VASANTH, K; MOZUMDER, P. K et al.IEEE transactions on semiconductor manufacturing. 1998, Vol 11, Num 4, pp 583-590, issn 0894-6507Conference Paper

Methods for the design of microelectronic devices and process flows for manufacturabilitySAXENA, S; BURCH, R; MOZUMDER, P. K et al.SPIE proceedings series. 1997, pp 18-23, isbn 0-8194-2644-XConference Paper

Linear control rules for production control of semiconductor fabsGLASSEY, C. R; SHANTHIKUMAR, J. G; SESHADRI, S et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 536-549, issn 0894-6507Conference Paper

Patterning tool characterization by causal variability decompositionYU, C; LIU, H.-Y; SPANOS, C. J et al.IEEE transactions on semiconductor manufacturing. 1996, Vol 9, Num 4, pp 527-535, issn 0894-6507Conference Paper

  • Page / 1